octafx-verifikationstid